Commit graph

2714 commits

Author SHA1 Message Date
Ivan Grokhotkov e45a288516 Merge branch 'bugfix/duplicate_unit_test_case_id' into 'master'
CI: fix bug that generates duplicate unit test case ID

See merge request !813
2017-06-04 00:51:12 +08:00
He Yin Ling 592b8a4325 CI: add one more UT runner 2017-06-03 11:38:52 +08:00
He Yin Ling 9b39be9559 CI: fix bug of duplicated unit test case ID:
need to compare if different file names has the same hash value.
use relative path to IDF to keep test case ID consist.
2017-06-03 11:38:05 +08:00
Jiang Jiang Jian cc46038142 Merge branch 'bugfix/add_dport_protect_in_libphy' into 'master'
Add dport protect in libphy.a

See merge request !803
2017-06-02 19:13:18 +08:00
Jiang Jiang Jian bd17791fd4 Merge branch 'bugfix/tw12798_dhcp_server_crash' into 'master'
lwip: fix dhcp server crash issue

See merge request !797
2017-06-02 19:09:43 +08:00
Jiang Jiang Jian 0a66f0db4c Merge branch 'bugfix/btdm_bit_against_enums_github_#12289' into 'master'
component/bt: Change the esp_gatt_perm_t & esp_gatt_char_prop_t type from enum t…

See merge request !809
2017-06-02 15:41:01 +08:00
Liu Zhi Fu cd58f089c2 lwip: fix dhcp server crash issue
Fix long dhcp request packet cause dhcp server crash issue
2017-06-02 14:02:33 +08:00
Jiang Jiang Jian 1eaf88262d Merge branch 'bugfix/btdm_add_open_and_close_event_for_gatts' into 'master'
component/bt: add open and close event for gatts

See merge request !786
2017-06-02 13:53:03 +08:00
Yulong be3eda0044 component/bt: Change the esp_gatt_perm_t & esp_gatt_char_prop_t type from enum to uint16_t & uint8_t. 2017-06-02 01:48:56 -04:00
Jiang Jiang Jian 77a53e528a Merge branch 'example/smartconfig' into 'master'
feat: add smartconfig example

See merge request !716
2017-06-02 13:11:12 +08:00
XiaXiaotian 66ef886d77 Add dport protect in libphy.a 2017-06-01 19:43:55 +08:00
Jiang Jiang Jian ab0f7264ee Merge branch 'bugfix/tw12174_connect_ap_fail' into 'master'
Fix the bug that station fails to connect to AP sometimes.

See merge request !802
2017-06-01 19:40:02 +08:00
zhiweijian f5a59f4f78 component/bt: add open and close event for gatts
1.added open event and close event for gatts.
2.used esp_log_buffer_char/hex for gattc scan result.
2017-06-01 15:01:54 +08:00
Anton Maklakov 6873c19131 Merge branch 'bugfix/ut_inverse_filter' into 'master'
unit-test-app: Fix capability to use !<filter> to inverse that filter

See merge request !805
2017-06-01 14:26:36 +08:00
Anton Maklakov 144d345b3b unit-test-app: Fix capability to use !<filter> to inverse that filter 2017-06-01 12:23:14 +08:00
Jiang Jiang Jian 5389329729 Merge branch 'bugfix/wrong_merge_of_MR773' into 'master'
fix bug that files missing commit in MR 773

See merge request !801
2017-05-31 20:39:59 +08:00
XiaXiaotian d2f24f1b81 Fix the bug that station fails to connect to AP sometimes. 2017-05-31 20:27:02 +08:00
jack fc130fba86 fix bug that files missing commit in MR 773 2017-05-31 19:37:39 +08:00
fuzhibo d1fb51afd2 feat: add smartconfig example 2017-05-31 15:32:15 +08:00
Angus Gratton d718cbd873 Merge branch 'bugfix/deep_sleep_example_stub_delay' into 'master'
sdkconfig: set CONFIG_ESP32_DEEP_SLEEP_WAKEUP_DELAY=2000 by default

See merge request !758
2017-05-29 12:11:56 +08:00
Angus Gratton 034d37b9cb Merge branch 'bugfix/doc-small-updates' into 'master'
Small fixes/updates to toolchain installation procedures

See merge request !782
2017-05-29 07:09:47 +08:00
Angus Gratton 2b1365a51b Merge branch 'feature/docs_gen-dxd' into 'master'
docs: Automatically generate API reference list / Doxygen directives

See merge request !723
2017-05-29 07:08:53 +08:00
Jiang Jiang Jian 636d2b384e Merge branch 'bugfix/ble_comfirm_reply_not_work_#12881' into 'master'
component/bt: Added the esp_ble_passkey_reply BTC_GAP_BLE_PASSKEY_REPLY_EVT &BTC…

See merge request !793
2017-05-27 14:40:26 +08:00
Jiang Jiang Jian 28cec08450 Merge branch 'bugfix/btdm_set_invalid_rand_addr_error_12303' into 'master'
component/bt: Added the set static random address callback to the bt project.

See merge request !773
2017-05-27 14:38:08 +08:00
Yulong e9fae704e3 component/bt: Merge the new master to avoid conflict. 2017-05-26 22:47:56 -04:00
Yulong 9679c238aa component/bt: Added the esp_ble_passkey_reply BTC_GAP_BLE_PASSKEY_REPLY_EVT &BTC_GAP_BLE_CONFIRM_REPLY_EVT
event.
2017-05-26 05:26:15 -04:00
Jiang Jiang Jian 826e96248d Merge branch 'bugfix/btdm_ble_crash_read_long_char_#12338' into 'master'
component/bt: Change the fragement method to avoid crash when the data transmit should fragement.

See merge request !789
2017-05-26 14:40:47 +08:00
Jiang Jiang Jian 87a5bd0179 Merge branch 'bugfix/btdm_value_relate_to_internal' into 'master'
fix bugs of some constant value not equal internal define but quotes internal define

See merge request !787
2017-05-26 14:24:52 +08:00
Jiang Jiang Jian adac20dc36 Merge branch 'bugfix/tw12643_board_no_response' into 'master'
fix close socket block issue

See merge request !781
2017-05-26 14:11:53 +08:00
Yulong e40810adbb compoennt/bt: change the remaining_length compare with the max_packet_size value when the data packet should fragment and dispatch. 2017-05-25 23:09:50 -04:00
Yulong 81ff34742b component/bt: Change the fragement method to avoid crash when the data transmit should fragement. 2017-05-25 09:59:03 -04:00
Jeroen Domburg 2427809c9d Merge branch 'feature/conditional_compile' into 'master'
Add cleaner way to conditionally compile files

See merge request !699
2017-05-25 16:34:29 +08:00
Tian Hao 0c6d966bff component/bt : fix bugs of some constant value not equal internal define but quotes internal define 2017-05-25 16:12:48 +08:00
Jeroen Domburg 79ca00af49 Add cleaner way to conditionally compile files 2017-05-25 16:12:27 +08:00
Yulong baf446e871 component/bt: merge the origin branch
with '#' will be ignored, and an empty message aborts
2017-05-25 02:21:01 -04:00
Yulong 66bb6a2f2b component/bt: squash the branch of the early commit
component/bt: squash the branch of the early commit

component/bt: Added the set static random address callback to the bt project.

component/bt: fixed the set static random address error.

component/bt: fixed the set static random address error.
2017-05-25 02:13:27 -04:00
krzychb d12f871be6 Small fixes/updates to toolchain installation procedures 2017-05-25 08:01:42 +02:00
Yulong 37af544c9a Merge branch 'bugfix/btdm_set_invalid_rand_addr_error_12303' of ssh://gitlab.espressif.cn:27227/idf/esp-idf into bugfix/btdm_set_invalid_rand_addr_error_12303 2017-05-25 01:57:16 -04:00
Yulong e30459b0de component/bt: squash the branch of the early commit
component/bt: Added the set static random address callback to the bt project.

component/bt: fixed the set static random address error.
2017-05-25 01:53:26 -04:00
Jiang Jiang Jian 2aadbee43c Merge branch 'bugfix/i2c_read_size' into 'master'
component/driver : fix i2c slave read size bug

See merge request !770
2017-05-25 10:55:28 +08:00
Ivan Grokhotkov 3c583a7655 sdkconfig: set CONFIG_ESP32_DEEP_SLEEP_WAKEUP_DELAY=2000 by default
To increase the chances that the examples work out of the box, this
change is raising the default deep sleep wakeup delay to 1ms.
If GPIO15 is low at startup, ROM code takes less time to execute
(because no logging is performed), so more time may be needed to allow
flash chip to become ready.
2017-05-25 10:51:39 +08:00
Jiang Jiang Jian 9634f1815d Merge branch 'bugfix/a2dp_media_pkt_drop' into 'master'
component/bt: bugfix for A2DP in dropping of media packet when buffer is filled

See merge request !785
2017-05-24 15:39:22 +08:00
wangmengyang cdea87168c component/bt: bugfix for A2DP in dropping of media packet when data buffer size goes beyond threshold 2017-05-24 15:08:46 +08:00
XiaXiaotian c0fa8a0354 fix close socket block issue
LWIP rx task receives NULL buffer pointer only when closing socket.
    So, do not need to close it again.
2017-05-23 21:08:03 +08:00
Yulong 2567696cc3 component/bt: fixed the set static random address error. 2017-05-23 07:48:45 -04:00
Jiang Jiang Jian ba55461686 Merge branch 'bugfix/ble_gatt_server_example_receive_prepare_write' into 'master'
component/bt: gatt_server example receive prepare write

See merge request !741
2017-05-23 13:57:39 +08:00
Jiang Jiang Jian 5c9bb591d3 Merge branch 'bugfix/tw12669_ota_fails_on_dual_core' into 'master'
esp32: update wifi lib to fix ota fail bug

See merge request !780
2017-05-23 13:51:18 +08:00
Liu Zhi Fu 365b66b95b esp32: update wifi lib to fix ota fail bug
Fix ota fails on dual core
2017-05-23 11:38:45 +08:00
Ivan Grokhotkov 55fb4c54c8 Merge branch 'bugfix/release_coproc_regs_taskdelete' into 'master'
Release coprocessor registers when a task is deleted.

See merge request !765
2017-05-22 11:05:47 +08:00
Ivan Grokhotkov 5413a115b7 Merge branch 'feature/ci_support_gitlab_v9' into 'master'
CI: replace with Gitlab v9 variable names

See merge request !777
2017-05-22 11:05:21 +08:00