test(UART): fix uart tx with ringbuffer test fail issue

This commit is contained in:
houwenxiang 2020-06-12 11:31:34 +08:00
parent eef0e178a0
commit c7e4a284ae

View file

@ -292,7 +292,8 @@ TEST_CASE("uart tx with ringbuffer test", "[uart]")
.data_bits = UART_DATA_8_BITS,
.parity = UART_PARITY_DISABLE,
.stop_bits = UART_STOP_BITS_1,
.flow_ctrl = UART_HW_FLOWCTRL_DISABLE,
.flow_ctrl = UART_HW_FLOWCTRL_CTS_RTS,
.rx_flow_ctrl_thresh = 120,
.source_clk = UART_SCLK_APB,
};
TEST_ESP_OK(uart_param_config(uart_num, &uart_config));