From c7e4a284aea8b9ef3eb2a48f78d975ddefe42e90 Mon Sep 17 00:00:00 2001 From: houwenxiang Date: Fri, 12 Jun 2020 11:31:34 +0800 Subject: [PATCH] test(UART): fix uart tx with ringbuffer test fail issue --- components/driver/test/test_uart.c | 3 ++- 1 file changed, 2 insertions(+), 1 deletion(-) diff --git a/components/driver/test/test_uart.c b/components/driver/test/test_uart.c index f371dd108..cebe8001b 100644 --- a/components/driver/test/test_uart.c +++ b/components/driver/test/test_uart.c @@ -292,7 +292,8 @@ TEST_CASE("uart tx with ringbuffer test", "[uart]") .data_bits = UART_DATA_8_BITS, .parity = UART_PARITY_DISABLE, .stop_bits = UART_STOP_BITS_1, - .flow_ctrl = UART_HW_FLOWCTRL_DISABLE, + .flow_ctrl = UART_HW_FLOWCTRL_CTS_RTS, + .rx_flow_ctrl_thresh = 120, .source_clk = UART_SCLK_APB, }; TEST_ESP_OK(uart_param_config(uart_num, &uart_config));