Use wiringPi instead of i2c

This commit is contained in:
phl0 2018-03-02 13:02:56 +01:00
parent 7e247b0f68
commit 622a5e24db
No known key found for this signature in database
GPG key ID: 48EA1E640798CA9A

View file

@ -3,7 +3,7 @@
CC = gcc
CXX = g++
CFLAGS = -g -O3 -Wall -std=c++0x -pthread -DOLED -I/usr/local/include
LIBS = -lArduiPi_OLED -li2c -lpthread
LIBS = -lArduiPi_OLED -lwiringPi -lpthread
LDFLAGS = -g -L/usr/local/lib
OBJECTS = \